728x90

Shmoo Plot은 수율을 관리하는 데 있어서 Chip 동작과 관련해 반드시 평가해야 할 Data라고 할 수 있습니다. 저도 공부하는 과정이다 보니 설명이 미흡한 점 양해 부탁드리겠습니다! 꾸벅 :(

Understanding Shmoo Plots and Various Terminology of Testers


테스트의 기본은 칩에 입력으로 적용한 Binary 응답이 출력에서 비교된 값과 일치하는지 확인하는 것입니다. 즉, 입력을 주고 출력에 대해서 응답이 일치하면 회로가 양호한 것으로 평가합니다. 칩의 품질은 얼마나 철저하게 Test를 진행했는지에 따라 결정됩니다. 

칩의 제조는 여러 유형의 테스트가 존재합니다. 생산의 경우 Wafer sort, Probe Test 등이 있습니다. 해당 Test는 회사 이윤에 큰 영향을 미치는 Test로 Pass Chip을 결정하고 이상이 없다고 평가한 Wafer에 대해서 Wafer를 Sawing하고 Packaging 됩니다. 

요즘 Device의 Size가 점점 미세해지고 전체 Logic이 커짐에 따라 Test에 더욱 집중하고 있는 추세입니다. 설계는 시간이 지남에 따라 복잡해지고 테스트는 시간과 비용면에서 난항을 겪고 있습니다. 우수한 수율을 얻기 위해서는 다양한 Test와 Test Vector를 제공해야 합니다. 전체 Process에서 Test 중 칩 전체의 오류가 분석되고 디버깅 되면서 저수율의 요인을 탐색합니다. Shmoo Plot은 오류가 무엇인지와 추가적인 Debugging을 위해 인사이트를 제공하는데 매우 유용한 Test 구조입니다.

② Shmoo Plot 이란

기술의 발전으로 5nm tech node 이하로 내려갔습니다. 선폭이 점점 미세해지면서 회로는 더 많은 비이상적인 현상과 결함이 발생하고 있습니다. 이러한 이유로 칩은 시장에 출시되기 전 다양한 테스트를 거치며 칩의 무결성을 확인하고 다양한 공정조건에서 설계가 신뢰성을 가지고 안정적인 동작을 가지는지 IC Test가 요구됩니다. 특히 IC를 대량으로 생산할 경우 경제적으로 유리하므로 사전에 Test를 통해 검증을 반드시 거쳐야 하빈다. 

Shmoo Plot은 설계검증을 최적화하는 데 있어서 강력한 방법이라 할 수 있습니다. Shmooing에서 얻은 데이터를 기반으로 프로세스 ,설계 및 최종 테스트 프로그램을 최적화 할 수 있습니다.

③ Shmoo Plot 해석 방법

① Normal Shmoo
일반적으로 Shmoo Plot은 'Voltage vs. Frequency'로 표현됩니다. 하기 그래프에서는 X축 방향으로 이동 할수록 주파수가 증가하며, 더 높은 주파수에서 Device가 동작할 수 있다는 것을 의미합니다. 마찬가지로 Y축으로 이동할수록 Device의 전압이 증가합니다. 아래 그림에서 Green 영역은 Pass, Red 영역은 Fail을 나타냅니다.
Normal Shmoo
우리는 Normal Shmoo에 대해서만 다루어보도록 하겠습니다. 앞서 설명드린 것처럼 Shmoo Plot은 생산된 반도체 Chip이 전압, 동작속도, 온도 등 다양한 조건의 조합에서 정상적으로 동작하는지 측정한 결과를 2차원의 그래프로 표현합니다. 일반적으로 Shmoo Plot은 위와 같이 전압과 동작속도로 나타냅니다. 각 칸의 위치에 해당하는 전압과 동작속도의 조합에서 특정한 Test Vector의 실행 결과를 확인하고 Pass일 경우는 녹색, Fail의 경우 적색으로 표현합니다. 만일, Shmoo Plot이 800칸이라면 총 800회의 측정을 진행한 것입니다. 물론 이러한 측정은 ATE (Automatic Test Equipment) 장비로 수행되기 때문에 빠른 시간 내에 측정이 완료됩니다. 

② Shmoo Plot 측정 목적
Shmoo Plot을 측정하는 목적은 Chip 설계의 마진이 충분한지 혹은 불량품을 걸러내기 위한 측정 조건을 찾기 위함입니다. 예를 들어 1.2V 전압에서 1GHz로 동작하는 칩을 설계했다고 가정했을 때, 아래와 같이 좌측, 우측 Shmoo Plot은 각각 설계 마진이 충분한 칩과 마진이 작은 칩에 대한 결과를 보여줍니다. 파란색 화살표로 표시해놓은 부분이 설계한 Chip의 동작 조건이라고 했을 때, 좌측 Shmoo Plot은 동작조건으로부터 넓은 영역까지 녹색으로 표시된 Pass 영역이 분포하지만, 우측에 마진이 부족한 Chip의 Shmoo Plot은 동작조건에서 조금만 벗어나도 적색의 Fail 영역을 만나게 됩니다. 
출처 : donghyun egloos
다시 정리하자면, 전압이 1.2V일 때 마진이 좋은 칩은 1.125GHz 까지 동작할 수 있습니다. 하지만 마진이 적은 칩은 1.05GHz 동작속도의 한계를 갖습니다. 그리고 Shmoo Plot을 통해 불량칩을 골라내기 위한 Test 조건을 선정하는데 사용할 수 있습니다. 여러 개의 불량 Sample과 양품 Sample이 있다고 했을 때, 각 Sample들의 Shmoo Plot을 측정해서 불량 샘플은 모두 Fail, 양품 Sample은 모두 Pass 인 지점이 있다면 (위의 동그라미 지점) 칩의 Test Vector를 가하는 조건을 검정색 원 위치에 맞추고 Pass/Fail 여부를 확인하면 불량 Sample을 가려낼 수 있습니다. 

특정한 동작속도 영역에 대해서만 동작하는 Analog Circuit이 포함된 경우 동작속도가 낮은 부분에서도 Fail이 나타나기도 하고, 예상한 그래프와 달리 다른 모양의 Shmoo Plot이 얻어질 수도 있습니다. Shmoo Plot을 측정할 때에는 Test Vector도 중요하지만 Test Vector가 취약한 부분에 대한 동작을 포함하지 않는 경우 설계 마진이 크든 적든 모두 비슷한 Shmoo Plot을 얻기도 합니다.

④ Normal Shmoo 외 다른 유형의 Shmoo

① Brick Wall Shmoo
Brick Wall Shmoo는 칩의 Bi-Stable 초기화 문제를 나타냅니다. 주로 첫 번째 또는 두 번째 Initialization이 무작위로 진행되는 경우 발생합니다. 예를 들어, 리셋 값이 정의되지 않은 레지스터는 초기화를 위해 0과 1값을 사용할 수 있는데, Device가 처음에는 Fail일 수 있지만 두 번째는 Pass인 경우의 시나리오를 고려해야 합니다. 따라서 이를 통해 문제의 요인이 하나 이상의 Registers 때문일 수 있다고 추론할 수 있습니다.
Brick wall Shmoo
② Wall Shmoo
Wall Shmoo는 주파수 변화에 관계없이 특정 전압에서 Fail을 나타내는 경우입니다. 이러한 종류의 Shmoo는 노이즈 커플링, 경쟁 조건 및 전하 공유 문제를 나타냅니다. 노이즈는 더 높은 di/dt (더 높은 Inductance), 및 dv/dt ( 더 높은 Capacitive coupling)에 의해 악화될 수 있습니다. 전압이 높을수록 회로가 더 빨리 작동하여 Hold Violation의 문제를 야기할 수 있습니다. 노이즈로 인한 Fail은 회로에 따라 매우 낮은 온도 뿐 아니라 높은 온도에서도 충분히 발생할 수 있습니다.
Wall shmoo, Low Voltage wall Shmoo
③ Reverse Speedpath
Reverse Speedpath shmoo는 주기가 끝나기 전에 약한 노드가 Lekage 되었음을 시사합니다. 회로가 상당한 RC Delay로 동작하는 방식에 대한 Shmoo Plot을 보여줍니다. 이는 전압이 높으면 Leakage 또한 많이 발생합니다.
Reverse Speedpath Shmoo
④ Floor Shmoo
Floor Shmoo는 회로가 고주파수에서는 동작하지만 더 낮은 주파수에서는 동작하지 않는 Plot 유형입니다. 또한 전압 변동에 관계없이 Leakage의 이슈를 포함합니다. 더 낮은 주파수에서 Leakage가 있고 다른 회로가 활성화 되어 있지 않으면 회로가 Leakage 될 충분한 시간을 갖게 됩니다. 이것은 또한 타이밍 문제를 포함합니다. 더 높은 온도의 경우 열이 FET의 임계값 이하에서 Leakage를 증가시키므로 Leakage에 의한 이슈를 고려해야 합니다.
Floor Shmoo
⑤ Finger Shmoo
Finger Shmoo는 Inductive or Capacitive Coupling을 나타냅니다. Aggressor와 Victim의 정렬 문제가 있음을 나타내며, 특정 주파수와 정렬에서는 항상 Fail을 유발합니다.
Finger Shmoo
온도가 상승하면 Transistor의 성능이 저하되고 결과적으로 저항이 증가하면서 동작 주파수가 낮아집니다. 온도가 낮아지면 저항과 Leakage가 감소하면서 Transistor의 성능이 향상됩니다. 따라서 우리는 온도가 Test에서 중요한 역할을 한다는 것을 이해해야 합니다. 이제부터 회로는 모든 Process Corner (FF, FS, SF, SS 및 TT)에서 Test 및 Simulation 되어야 합니다. 즉, 모든 다른 Temperature, Voltage, Frequency에서 Shmoo 되어야 합니다.

⑤ 용어 정리

① Marginality Issue, 마진 이슈
칩은 더 낮은 전압 또는 더 높은 전압에서 Fail이 발생할 수 있습니다. 전압 및 Clock 속도를 변경하여 유효성을 검사할 수 있습니다. 회로가 더욱 신뢰성을 가지고 안정적으로 동작하기 위해서는 Device의 10% 전압 vs. 10% 주파수 변동으로 Test 됩니다. VDDL, VDDH, VDDN에서 가져온 다음 Clock 속도가 변경됩니다. 따라서 주로 PLL 설정 및 IR Drop에 대한 검사를 위해 사용됩니다.
Marginality Issue
② Power Issue
Tech node가 점점 미세해지면서 Scaling에 따라 IC는 기존보다 더 많은 전력을 소비하게 됩니다. 이는 Device가 더 많은 열을 발생하는 것을 의미합니다. Test의 시간과 비용 절감을 고려하여 Chip은 높은 Shift Frequency에서 실행됩니다. 이에 따라 높은 스위칭과 같은 문제로 이어질 수 있으며, 이 문제를 피하기 위해 전력 인식 ATPG 및 기타 새로운 기능이 이 문제를 제어하기 위해 개발되었습니다.

③ Hold Time
Hold TGime은 불가피하게 발생하게 됩니다. 이 문제는 Frequency에 관계없이 발생합니다. Hold Time의 요구사항은 바로 데이터 입력이 활성 Clock edge 이후에 충분한 시간 동안 안정적으로 유지되어야 함을 나타냅니다. Hold Time Fail의 주요 원인은 Crosstalk, Short Paths, Clock Skew 등의 이유가 있습니다. 이를 해결하기 위해서는 Failing Flops을 찾아 해당 Flop을 마스킹하거나 넷리스트에서 이를 우회하고 Hold 를 윕안한 Flop data를 포착하는 Flop을 마스킹 하는 것입니다.
Hold Time Issue

⑥ Examples

Example #1: 아래 Shmoo Plot은 Scan Chain Failing에 관한 것으로 부적절한 진폭 및 히스테리시스 설정의 문제가 있음.
Shmoo Plot depicting failure because of improper amplitude and hysteresis settings.

Example #2 : 아래 사례는 단 하나의 색상만 존재하는 것을 확인할 수 있음. 이는 단 하나의 오류만이 존재함을 나타내며, 하나의 싸이클 유형만 실패하고 있음을 나타냄.
Single-Cycle Failure

Example #3 : Example #2와 달리 많은 색상이 나타났고, 이는 여러 Cycle이 실패하고 있음을 나타냄.
Multiple Cycle Failure
기술 발전으로 Chip의 Size는 크게 줄일 수 있었지만, Test 및 Debugging에는 많은 문제가 발생하고 있습니다. Shmoo는 설계 검증과 관련된 복잡한 문제를 해결하는 데 많은 도움이 될 수 있습니다. Shmoo Plot을 사용하여 버그를 빠르게 찾아내고 Process, 설계 및 Final Test 프로그램을 최적화할 수 있습니다.
반응형
  • 네이버 블러그 공유하기
  • 네이버 밴드에 공유하기
  • 페이스북 공유하기
  • 카카오스토리 공유하기